Main Content

このページは前リリースの情報です。該当の英語のページはこのリリースで削除されています。

ターゲット言語とフォルダー選択パラメーター

このページでは、[コンフィギュレーション パラメーター] ダイアログ ボックスの [HDL コード生成] ペインのコンフィギュレーション パラメーターについて説明します。これらのパラメーターを使用して、HDL コードを生成する Subsystem、ターゲット HDL 言語、およびコードの生成先のターゲット フォルダーを指定できます。

HDL を生成

コードが生成されるサブシステムまたはモデルを選択します。リストにはルート モデルおよびモデル内のサブシステムへのパスが含まれています。このパラメーターを指定し、[生成] ボタンをクリックすると、HDL Coder™ によって指定した Subsystem のコードが生成されます。既定では、HDL コードは VHDL 言語で、hdlsrc フォルダーに生成されます。

設定

既定の設定: ルート モデルの最上位サブシステムが選択されます。

コマンド ライン情報

プロパティ: HDLSubsystem
型: 文字ベクトル
値: サブシステムへの有効なパス
既定の設定: ルート モデルの最上位サブシステムへのパス

たとえば、以下のいずれかの方法を使用して、sfir_fixed モデルの symmetric_fir サブシステムの HDL コードを生成できます。

  • HDLSubsystem プロパティを makehdl への引数として使用してサブシステムを指定します。

    makehdl('sfir_fixed','HDLSubsystem','sfir_fixed/symmetric_fir')

  • サブシステムへのパスを makehdl への最初の引数として渡します。

    makehdl('sfir_fixed/symmetric_fir')

makehdlも参照してください。

言語

生成されるコードの言語 (VHDL®、Verilog®、または SystemVerilog) を選択します。選択した言語はターゲット言語と呼ばれます。[言語] を指定し、[生成] ボタンをクリックすると、HDL Coder は、[HDL を生成] パラメーターで指定された Subsystem のコードをその言語で生成します。既定では、HDL コードは VHDL 言語で、hdlsrc フォルダーに生成されます。

生成された HDL コードは、以下の標準に従います。

  • VHDL-1993 (IEEE® 1076-1993)

  • Verilog-2001 (IEEE 1364-2001)

  • SystemVerilog-2005 (IEEE 1800-2005)

設定

既定の設定: VHDL

VHDL

VHDL コードを生成します。

Verilog

Verilog コードを生成します。

SystemVerilog

SystemVerilog コードを生成します。

コマンド ライン情報

プロパティ: TargetLanguage
型: 文字ベクトル
値: 'VHDL' | 'Verilog' | 'SystemVerilog'
既定の設定: 'VHDL'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

たとえば、sfir_fixed モデル内の symmetric_fir サブシステムに対して Verilog コードを生成するには、次のいずれかの方法を使用します。

  • プロパティを引数として関数 makehdl に渡す。

    makehdl('sfir_fixed/symmetric_fir','TargetLanguage','Verilog')
  • hdlset_param を使用してモデルのパラメーターを設定する。次に、makehdl を使用して HDL コードを生成する。

    hdlset_param('sfir_fixed','TargetLanguage','Verilog')
    makehdl('sfir_fixed/symmetric_fir')

makehdlも参照してください。

コード生成フォルダー

コードが生成されるフォルダーへのパスを入力します。代わりに、[参照] をクリックしてフォルダーに移動したり、選択できます。選択したフォルダーはターゲット フォルダーと呼ばれます。[コード生成フォルダー] を指定して、[生成] ボタンをクリックすると、HDL Coder によって [HDL を生成] パラメーターで指定された Subsystem のコードがそのフォルダーに生成されます。既定では、HDL コードは VHDL 言語で、hdlsrc フォルダーに生成されます。

設定

既定の設定: 既定のターゲット フォルダーは作業フォルダーのサブフォルダーで、hdlsrc という名前です。HDL Coder はこのサブフォルダーに生成されたファイルを書き込みます。フォルダー名には絶対パス名を文字ベクトルと指定できます。

コマンド ライン情報

プロパティ: TargetDirectory
型: 文字ベクトル
値: ターゲット フォルダーへの有効なパス
既定の設定: 'hdlsrc'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

たとえば、HDL コードを sfir_fixed モデル内の symmetric_fir サブシステム用のカスタム ターゲット フォルダーに生成するには、次のいずれかの方法を使用します。

  • プロパティを引数として関数 makehdl に渡す。

    makehdl('sfir_fixed/symmetric_fir','TargetDirectory','C:/Temp/hdlsrc')
  • hdlset_param を使用してモデルのパラメーターを設定する。次に、makehdl を使用して HDL コードを生成する。

    hdlset_param('sfir_fixed','TargetDirectory','C:/Temp/hdlsrc')
    makehdl('sfir_fixed/symmetric_fir')

参考

モデルを既定の設定に戻す

このボタンは、モデルレベルの HDL 設定を既定値にリセットします。ブロックの設定は変わりません。ブロックの設定をクリアするには、hdlrestoreparams を使用します。

メモ

モデルレベルの設定をクリアすると、前の設定を復元することはできません。設定を復元するには、モデルを閉じてモデルを再度開きます。

コマンド ライン情報

関数: hdlrestoreparams
型: 文字ベクトル
値: モデル名
既定の設定: ''

HDL 生成可チェック

この設定は、[HDL を生成] を使用して設定する Subsystem が HDL コード生成と互換性があるかどうかをチェックします。設定により、エラー、警告、メッセージが表示される HDL チェック レポートが生成されます。サブシステムの HDL 互換性のチェックを参照してください。

コマンド ライン情報

関数: checkhdl
型: 文字ベクトル
値: サブシステムまたはモデルの名前
既定の設定: ''

参考

checkhdl

生成

この設定により、[HDL を生成] を使用して指定する Subsystem の HDL コードが生成されます。Subsystem が HDL 互換ではない場合、コード ジェネレーターは HDL チェック レポートにエラーを表示します。

コマンド ライン情報

関数: makehdl
型: 文字ベクトル
値: サブシステムまたはモデルの名前
既定の設定: ''

参考

makehdl