Main Content

このページは機械翻訳を使用して翻訳されました。元の英語を参照するには、ここをクリックします。

HDL Verifier

HDL シミュレータと FPGA ボードを使用して VerilogVHDL をテストおよび検証する

HDL Verifier™ を使用すると、FPGA、ASIC、SoC の VHDL® および Verilog® 設計をテストおよび検証できます。Siemens® Questa® または ModelSim®、Cadence® Xcelium™、および Xilinx® Vivado® シミュレータとの協調シミュレーションを使用して、MATLAB® または Simulink® で実行されるテストベンチで RTL を検証できます。これらの同じテストベンチを FPGA 開発ボードで再利用して、ハードウェア実装を検証できます。

HDL Verifier は、RTL テストベンチ用の SystemVerilog 検証モデルと完全な Universal Verification Methodology (UVM) 環境を生成します。これらのモデルは、SystemVerilog ダイレクト プログラミング インターフェイス (DPI) を介して Questa、Xcelium、Vivado シミュレーター、および Synopsys® VCS でネイティブに実行されます。

HDL Verifier は、MATLAB の Xilinx、Intel®、および Microchip ボード上の実装をデバッグおよびテストするためのツールを提供します。設計にプローブを挿入し、トリガー条件を設定して内部信号を MATLAB にアップロードし、視覚化と分析を行うことができます。

Workflow chart showing HDL Verifier on the left, with arrows leading to three workflows: 1. Algorithm Verification, 2. FPGA debug, 3. Verification IP Export

HDL Verifier 入門

HDL Verifier の基礎を学ぶ

コシミュレーションによる検証

HDL シミュレータと MATLAB および Simulink 間のコシミュレーション

FPGAハードウェアによる検証

ハードウェア設計の検証とデバッグのために、FPGAボードをMATLABとSimulinkに接続します。

ASIC テストベンチ

ASICおよび高度なFPGA設計用のテストベンチを生成する

検証とHDLコード生成を統合

HDL Coder™ で生成された HDL コードを検証するためのテストベンチを生成します。

HDL Verifier でサポートされているハードウェア

Xilinx、Intel、Microchip FPGA ボードなどのサードパーティ製ハードウェアのサポート