Main Content

RAM の RTL カスタマイズのパラメーター

このページでは、[コンフィギュレーション パラメーター] ダイアログ ボックスの [HDL コード生成][グローバル設定][コーディング スタイル] タブにあるパラメーターについて説明します。

すべての RAM ブロックを初期化

RAM ブロックの初期信号値の生成を有効または抑制します。この設定は RAM に非ゼロの初期値を指定した場合は無視されます。

設定

既定の設定: オン

オン

RAM ブロックでは、RAM 信号と出力の一時的な信号のどちらにも初期値 '0' を生成します。

オフ

RAM ブロックでは、RAM 信号と出力の一時的な信号のどちらにも初期値を生成しません。

ヒント

このパラメーターは、Simulink ライブラリ ブラウザーの [HDL Coder][HDL RAMs] ブロック ライブラリの、次の RAM ブロックに適用されます。

  • Dual Port RAM

  • Simple Dual Port RAM

  • Single Port RAM

  • Dual Rate Dual Port RAM

コマンド ライン情報

プロパティ: InitializeBlockRAM
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'on'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

RAM アーキテクチャ

DUT サブシステム内のすべての RAM に、クロック イネーブル を搭載または搭載しない RAM アーキテクチャを選択します。

設定

既定の設定: クロック イネーブルを搭載した RAM

メニューから次のオプションのいずれか 1 つを選択します。

  • クロック イネーブルを搭載した RAM:クロック イネーブルを搭載した RAM を生成します。

  • クロック イネーブルを搭載しない汎用 RAM:クロック イネーブルを搭載しない RAM を生成します。

コマンド ライン情報

プロパティ: RAMArchitecture
型: 文字ベクトル
値: 'WithClockEnable' | 'WithoutClockEnable'
既定の設定: 'WithClockEnable'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。