Main Content

このページは前リリースの情報です。該当の英語のページはこのリリースで削除されています。

RTL の注釈のパラメーター

このページでは、[コンフィギュレーション パラメーター] ダイアログ ボックスの [HDL コード生成][グローバル設定][コーディング スタイル] タブにあるパラメーターについて説明します。

Verilog または SystemVerilog `timescale 命令の使用

生成された Verilog® または SystemVerilog コード内でコンパイラの `timescale 命令の使用を指定します。

設定

既定の設定: オン

オン

生成された Verilog または SystemVerilog コード内でコンパイラの `timescale 命令を使用します。

オフ

生成された Verilog または SystemVerilog コード内でコンパイラの `timescale 命令の使用を抑制します。

ヒント

`timescale 命令は、Verilog または SystemVerilog ファイル内の複数のモジュールについて異なる遅延値を指定する方法を提供します。この設定は、生成されたテスト ベンチには影響しません。

依存関係

このオプションは、ターゲット言語 ([言語] オプションで指定) が Verilog または SystemVerilog の場合に有効になります。

コマンド ライン情報

プロパティ: UseVerilogTimescale
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'on'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

Verilog または SystemVerilog timescale の仕様

生成された Verilog または SystemVerilog コードで使用する時間スケールを指定します。

設定

既定の設定: `timescale 1 ns/1 ns

HDL Coder™ では、生成された Verilog または SystemVerilog コードの timescale 命令にこのオプションを適用します。既定の時間スケールをカスタマイズして、コンパイル可能な有効な timescale 命令を指定できます。この命令を使用して、Verilog および SystemVerilog 言語で遅延値の計算に使用される時間単位と精度が判別されます。

既定では、時間単位と精度はどちらも 1ns です。たとえば、時間スケールを `timescale 1 ns/1 ps にカスタマイズすると、遅延単位は 1ns になり、値の精度は最も近い 1 ps になります。

依存関係

このオプションは、次の場合に有効になります。

  • ターゲット言語 ([言語] オプションで指定) が Verilog または SystemVerilog の場合。

  • [Verilog または SystemVerilog の timescale 命令を使用] オプションが有効になっている場合。

コマンド ライン情報

プロパティ: Timescale
型: 文字ベクトル
値: 有効な時間スケール値の文字ベクトル
既定の設定: `timescale 1 ns/1 ns

コードの再利用

モデル サブシステムのサブシステムのロジックを表現する単一の再利用可能なファイルを生成するかどうかを指定します。

設定

既定の設定: Atomic only

Atomic only

Atomic サブシステムのみに対して再利用可能なコードを生成します。

Atomic and Virtual

複数の同一のサブシステムに対して単一の再利用可能なファイルを生成します。

オフ

サブシステムのロジックを表現する単一の再利用可能なファイルの生成を抑制します。

コマンド ライン情報

プロパティ: SubsystemReuse
型: 文字ベクトル
値: 'Atomic only' | 'Atomic and Virtual' | 'off'
既定の設定: 'Atomic only'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

たとえば、プロジェクト全体に対して単一の再利用可能なシステムを生成するには、次のように入力します。

hdlset_param('myHDLModel', 'SubsystemReuse', 'Atomic and Virtual')

プロジェクトの DUT コンポーネントに対してオプションを設定するには、次のように入力します。

makehdl(<DUT system>, 'SubsystemReuse', 'Atomic and Virtual')

インラインの VHDL コンフィギュレーション

生成された VHDL® コードにインライン コンフィギュレーションを含めるかどうかを指定します。

設定

既定の設定: オン

オン

コンポーネントをインスタンス化するファイル内に VHDL コンフィギュレーションを含めます。

オフ

コンフィギュレーションの生成を抑制し、ユーザー指定の外部コンフィギュレーションを必要とします。独自の VHDL コンフィギュレーション ファイルを作成する場合は、この設定を使用します。

ヒント

HDL コンフィギュレーションは、エンティティに対する VHDL コードと共にインライン化するか、別の VHDL ソース ファイル内にエクスターナル化することができます。既定では、HDL Coder は生成した VHDL コードにモデルのコンフィギュレーションを含めます。独自の VHDL コンフィギュレーション ファイルを作成する場合は、インライン コンフィギュレーションの生成を抑制してください。

依存関係

このオプションは、ターゲット言語 ([言語] オプションで指定) が VHDL の場合に有効になります。

コマンド ライン情報

プロパティ: InlineConfigurations
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'on'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

固定値の表示方法の設定

生成された VHDL コード内で連続したゼロの構文の使用を指定します。

設定

既定の設定: オン

オン

連続したゼロについてタイプ セーフ構文、'0' & '0' を使用します。通常、この構文が推奨されます。

オフ

連続したゼロについて構文 "000000..." を使用します。この構文の方が読みやすく、よりコンパクトですが、あいまいなタイプになる可能性があります。

依存関係

このオプションは、ターゲット言語 ([言語] オプションで指定) が VHDL の場合に有効になります。

コマンド ライン情報

プロパティ: SafeZeroConcat
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'on'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

難読化された HDL コードの生成

難読化された HDL コードの生成を指定します。難読化を使用すると、知的財産を開示せずにサードパーティと HDL コードを共有することができます。難読化により、コードの可読性が低下します。生成された HDL コードにコメント、改行、またはスペースがない場合は、識別子名を他のランダムな名前と置き換えます。

設定

既定の設定: オフ

オン

難読化された HDL コードを生成します。

オフ

難読化された HDL コードを生成しません。

依存関係

このパラメーターを有効にするには、[HDL コードを生成] チェック ボックスを選択しなければなりません。

コマンド ライン情報

プロパティ: ObfuscateGeneratedHDLCode
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'off'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

  • makehdl を使用して難読化された HDL コードを生成するには、次を行います。

    makehdl('dutname', 'ObfuscateGeneratedHDLCode', 'on')

  • hdlset_param を使用して難読化された HDL コードを生成するには、次を行います。

    hdlset_param('modelname', 'ObfuscateGeneratedHDLCode', 'on')
    makehdl('dutname')