Main Content

このページの内容は最新ではありません。最新版の英語を参照するには、ここをクリックします。

展開

合成スクリプトと、Intel®、Xilinx®Microchip、Speedgoat®、およびカスタム FPGA ボードへの生成された HDL コードの展開

生成された HDL コードを汎用の FPGA または ASIC プラットフォームに、またはハードウェア ソフトウェア協調設計ワークフローを使用するプラットフォームに展開することができます。このワークフローを使用するターゲット デバイスは、Intel および Xilinx の FPGA ボードなどのスタンドアロン FPGA ボード、Intel SoC、Xilinx Zynq® および Microchip プラットフォームなどの SoC プラットフォーム、および Speedgoat I/O モジュールを含みます。これらのプラットフォームへの展開の詳細については、FPGA & SoC ハードウェアをターゲットにするを参照してください。

関数

hdlsetuptoolpathFPGA 合成ソフトウェアにアクセスするためのシステム環境の設定

トピック