Main Content

このページの内容は最新ではありません。最新版の英語を参照するには、ここをクリックします。

参照モデル用のブラック ボックス インターフェイスの生成

ブラック ボックス インターフェイスを生成する場合

Model ブロックのブラック ボックス実装は、古い形式または手書きの HDL コードが既にある場合に指定します。その参照する HDL コードのインターフェイスに必要な HDL コードが HDL Coder™ で生成されます。

コードは、以下を前提として生成されます。

  • すべての HDL エンティティまたはモジュールでクロック、クロック イネーブルおよびリセット端子を必要とする。したがって、これらの端子は生成されたエンティティまたはモジュールごとに定義されます。

  • Simulink® データ型の使用が前提となる。VHDL® コードについては、端子のデータ型は STD_LOGIC または STD_LOGIC_VECTOR が前提となります。

参照モデルを含む、マルチレートで複数クロックの DUT に対してコードを生成する場合は、HDL コード生成でのモデル参照を参照してください。

ブラック ボックス インターフェイスの生成方法

参照モデルの HDL ラッパー、つまりブラック ボックス インターフェイスのインスタンスを作成するには、次の手順に従います。

  1. Model ブロックを右クリックして [HDL コード][HDL ブロック プロパティ] を選択します。

    [HDL ブロック プロパティ] ダイアログ ボックスで次の手順に従います。

  2. DUT サブシステムの HDL コードを生成します。

注意と制限

  • 関数 checkhdl を実行してモデルの HDL コード生成との互換性をチェックする場合、この関数は参照モデル内の端子のデータ型をチェックしません。

  • 生成された 2 つ以上の VHDL コード モジュールのインターフェイス時にベクトル端子間で型指定または命名の競合が発生する場合は、競合しない端子定義を生成するために ScalarizePorts プロパティを使用します。詳細については、端子のスカラー化を参照してください。

関連するトピック