Main Content

Reserved word postfix

Text to append to value names, postfix values, or labels

Model Configuration Pane: Global Settings / General

Description

Specify a text as a character vector to append to value names, postfix values, or labels that are VHDL®, Verilog® or SystemVerilog reserved words.

Settings

_rsvd (default) | character vector

Default: _rsvd

The reserved word postfix is applied to identifiers (for entities, signals, constants, or other model elements) that conflict with VHDL or Verilog reserved words. For example, if your generating model contains a signal named mod, HDL Coder™ adds the postfix _rsvd to form the name mod_rsvd.

Tips

To set this property, use the functions hdlset_param or makehdl. To view the property value, use the function hdlget_param.

For example, you can specify this property when you generate HDL code for the symmetric_fir subsystem inside the sfir_fixed model using either of these methods.

  • Pass the property as an argument to the makehdl function.

    makehdl('sfir_fixed/symmetric_fir', ... 
            'ReservedWordPostfix','_reserved')
  • When you use hdlset_param, you can set the parameter on the model and then generate HDL code using makehdl.

    hdlset_param('sfir_fixed','ReservedWordPostfix','_reserved)
    makehdl('sfir_fixed/symmetric_fir')

Recommended Settings

No recommended settings.

Programmatic Use

Parameter: ReservedWordPostfix
Type: character vector
Default: '_rsvd'

Version History

Introduced in R2012a