HDL の言語サポートおよびサポートされるサードパーティ製ツールとハードウェア
VHDL、Verilog および SystemC の言語サポート
生成される HDL コードは、次の標準に準拠しています。
VHDL-1993 (IEEE® 1076-1993)
Verilog-2001 (IEEE 1364-2001)
SystemVerilog-2005 (IEEE 1800-2005)
SystemC 2.3 (IEEE 1666-2011)
サードパーティ製の合成ツールとバージョンのサポート
HDL ワークフロー アドバイザーは次のサードパーティ製 FPGA 合成ツールを使用してテストされています。
Intel® Quartus® Prime Standard 22.1.1
Intel Quartus Pro 22.4
Xilinx® Vivado® Design Suite 2023.1
Microchip Libero® SoC 2022.1
Xilinx ISE 14.7
Cadence® Stratus HLS 21.2
Cadence Genus 19.16
HDL ワークフロー アドバイザーでテスト済みの合成ツールを使用してワークフローを開始する場合、アドバイザーはそのツールでサポートされているデバイスのリストを生成します。HDL ワークフロー アドバイザーでテストされていないサードパーティ製の合成ツールを使用した場合、アドバイザーによってデバイス リストの更新は行われず、そのツールで使用できる FPGA デバイスは反映されません。
たとえば、HDL ワークフロー アドバイザーは Intel Quartus Prime Standard および Intel Quartus Pro でテスト済みです。Intel Quartus Prime Lite などの、アドバイザーでテスト済みでないツールを使用する場合、FPGA デバイス リストはワークフロー アドバイザーで更新されません。
サードパーティ製合成ツールと HDL Coder™ を併用する場合は、サポートされている合成ツールがインストールされていて、合成ツールの実行可能ファイルがシステム パスになければなりません。詳細は、ツールの設定を参照してください。
FPGA インザループ ハードウェア
HDL Verifier™ での FPGA インザループ シミュレーションでサポートされている FPGA は HDL Verifier のドキュメンテーションに記載されています。
また、FPGA ボード マネージャーを使用してカスタム FPGA ボードを追加することができます。詳細については、FPGA Board Customization (HDL Verifier)を参照してください。
HDL ワークフロー アドバイザーを使用して、FPGA インザループまたは USRP™ デバイス向けのカスタマイズをする場合は、サポートされている合成ツールがインストールされており、合成ツールの実行可能ファイルがシステム パス上に存在しなければなりません。詳細は、ツールの設定を参照してください。
汎用 ASIC/FPGA ハードウェア
次のハードウェアは汎用 ASIC/FPGA ワークフローでサポートされています。
合成ツール | デバイス ファミリ |
---|---|
Xilinx Vivado | Kintex® 7 |
Artix® 7 | |
Artix UltraScale+™ | |
Kintex UltraScale+ | |
KintexU | |
Spartan® 7 | |
Virtex® UltraScale+ | |
Virtex UltraScale+ HBM | |
Virtex UltraScale+ 58G | |
Virtex7 | |
VirtexU | |
Zynq® | |
Zynq UltraScale+ | |
Zynq Ultrascale+ RFSoC | |
Versal AI Core | |
Xilinx ISE | Virtex6 |
Virtex5 | |
Virtex4 | |
Spartan-3A DSP | |
Spartan 3E | |
Spartan3 | |
Spartan6 | |
Altera® Quartus II メモ Altera Quartus II は、合成ツール Intel Quartus Prime Standard を参照します。 | Cyclone® IV |
Cyclone V | |
Arria® II GX および GZ | |
Stratix® IV | |
Stratix V | |
Arria 10 | |
Arria V GX | |
MAX 10 | |
Cyclone 10 LP | |
Intel Quartus Pro | Arria 10 |
Cyclone 10 GX | |
Stratix 10 | |
Intel Agilex® | |
Microchip Libero SoC | SmartFusion2 |
RTG4 | |
IGLOO2 | |
PolarFire | |
PolarFire SoC |
IP コアの生成のハードウェア
次のハードウェアは、IP コアの生成ワークフローでサポートされています。
合成ツール | ターゲット プラットフォーム |
---|---|
Xilinx Vivado | ZedBoard FMC-HDMI-CAM |
ZedBoard と FMCOMMS2/3/4/ | |
ZC706 FMC-HDMI-CAM | |
ZC706 FMCOMMS2/3/4/ | |
ZC706 と FMCOMMS5 | |
ZC702 FMC-HDMI-CAM | |
ZCU102 FMC-HDMI-CAM | |
ZCU102 と FMCOMMS2/3/4 | |
ZCU106 IMX274MIPI-FMC | |
ZCU106 FMC-HDMI-CAM | |
Zynq ZC706 評価キット | |
Zynq ZC702 評価キット | |
PicoZed FMC-HDMI-CAM | |
Zynq UltraScale+ MPSoC ZCU102 評価キット | |
Zynq UltraScale+ RFSoC ZCU111 評価キット | |
Zynq UltraScale+ RFSoC ZCU216 評価キット | |
Kintex-7 KC705 開発ボード | |
Artix-7 35T Arty 開発ボード | |
Versal AI Core シリーズ VCK190 評価キット | |
Virtex-7 VC707 開発ボード | |
Intel Quartus Pro | Intel Arria 10 SoC 開発キット |
Altera Quartus II メモ Altera Quartus II は、合成ツール Intel Quartus Prime Standard を参照します。 | Intel Arria 10 SoC 開発キット |
Cyclone V SoC 開発キット Rev. C および Rev. D | |
Arrow DECA Max 10 FPGA 開発ボード | |
Arrow SoC Kit 開発ボード | |
Arria 10 GX FPGA 開発キット | |
Microchip Libero SoC | Microchip Polarfire® SoC Icicle キット |
Simulink Real-Time FPGA I/O: Speedgoat ターゲット コンピューター
[Simulink Real-Time FPGA I/O]
ワークフローを使用して、Speedgoat FPGA I/O モジュールをターゲットにします。これらの I/O モジュールは Speedgoat® ターゲット コンピューター システムの一部です。[Simulink Real-Time FPGA I/O]
ワークフローを実行するには、Speedgoat I/O Blockset および Speedgoat HDL Coder Integration Package をインストールします。統合パッケージをインストールした後、[ターゲット プラットフォーム] を選択し、その後ワークフローを実行して、Simulink® Real-Time™ インターフェイス サブシステムを生成できます。
参照項目:
統合パッケージとそのインストール方法については、オンラインで www.speedgoat.com/knowledge-center にあるドキュメンテーション「HDL Coder Integration Packages」を参照してください。「入門」の Speedgoat - HDL Coder Integration Packages をダウンロードしてインストールするための手順に従ってください。
HDL ワークフロー アドバイザーでサポートされる Speedgoat I/O モジュールについては、Speedgoat Real-Time FPGA Application Support from HDL Coder を参照してください。
HDL ワークフロー アドバイザーでの Speedgoat FPGA のサポートを参照してください。
参考
hdlsetuptoolpath
| hdlsetuphlstoolpath