HDL の言語サポートおよびサポートされるサードパーティ製ツールとハードウェア
VHDL、Verilog、および SystemC HLS の言語サポート
生成される HDL コードは、次の標準に準拠しています。
VHDL-1993 (IEEE® 1076-1993)
Verilog-2001 (IEEE 1364-2001)
SystemVerilog-2005 (IEEE 1800-2005)
SystemC 2.3 (IEEE 1666-2011)
サードパーティ製の合成ツールとバージョンのサポート
HDL ワークフロー アドバイザーは次のサードパーティ製 FPGA 合成ツールを使用してテストされています。
Xilinx® Vivado® Design Suite 2023.1
Xilinx ISE 14.7
Intel® Quartus® Prime Standard 22.1.1
Intel Quartus Pro 23.3
Microchip Libero® SoC 2023.2
Cadence® Genus 21.18
Cadence Stratus HLS 21.2
AMD® Vitis™ HLS 2023.1
サポートされている Xilinx ボード
HDL Coder™ は、IP コアの生成ワークフローにそのまま使えるように次の Xilinx FPGA および SOC ボードをサポートしています。
Artix-7 35T Arty 開発ボード、Kintex-7 KC705 開発ボード、Virtex-7 VC707 開発ボード
Versal AI Core シリーズ VCK190 評価キット
ZedBoard™
Zynq UltraScale+ MPSoC ZCU102 評価キット
Zynq UltraScale+ RFSoC ZCU111、ZCU216 評価キット
Zynq ZC702、ZC706 評価キット
サポートされている Intel ボード
HDL Coder は、IP コアの生成ワークフローにそのまま使えるように次の Intel FPGA および SoC ボードをサポートしています。
Intel Arria® 10 SoC、Arria 10 GX 開発キット
Cyclone® V SoC 開発キット Rev. C および Rev. D
Arrow DECA Max 10、Arrow Soc Kit FPGA 開発ボード
サポートされている Microchip ボード
HDL Coder は、IP コアの生成ワークフローにそのまま使えるように Microchip Polarfire® SoC Icicle キットをサポートしています。
カスタム ボードのサポート
HDL Coder は、完全に移植可能でプラットフォームに依存しないターゲット最適化コードを生成します。使用するボードがそのままでは使えるようにサポートされていない場合は、次の方法でボードにサポートを拡張できます。
生成された HDL コードをツールと FPGA プロジェクトに手動で統合する
ボードに依存しない IP コアを生成し、その IP コアのリポジトリを HDL ツールで追加する。
このサポートは、Lattice Semiconductor Corporation® や NanoXplore など、他のベンダーのボードとチップ ファミリにも拡張できます。さらに、使用するボードのベンダーが Xilinx、Intel、Microchip の場合は、ボード定義とカスタム リファレンス設計からカスタム ハードウェア プラットフォームを作成できます。カスタム ボードおよびリファレンス設計の定義方法については、カスタム ボードの登録およびカスタム リファレンス設計の登録を参照してください。例については、AMD ワークフロー用のカスタムのボードとリファレンス設計の定義を参照してください。
Simulink Real-Time FPGA I/O: Speedgoat ターゲット コンピューター
[Simulink Real-Time FPGA I/O]
ワークフローを実行するには、Speedgoat I/O Blockset および Speedgoat® HDL Coder Integration Package をインストールします。詳細については、https://www.speedgoat.com/products-services/i-o-connectivity/simulink-programmable-fpga-i-oを参照してください。
参考
hdlsetuptoolpath
| hdlsetuphlstoolpath