フィルターのクリア

Import VHDL in simulink

58 ビュー (過去 30 日間)
MEHN Gildas
MEHN Gildas 2018 年 12 月 4 日
回答済み: Bharath Venkataraman 2024 年 1 月 5 日
Hello,
I want to import my vhdl code in simulink and have a block i can use and connect with other blocks. How can i do it ?
Thanks for your help.

回答 (2 件)

Kiran Kintali
Kiran Kintali 2018 年 12 月 5 日
>> help importhdl
if you have Synthesizable subset of verilog you can import into Simulink using "importhdl" functionality. We do not yet support VHDL. if you can please share your sample design with us kiran.kintali@mathworks.com
You can also bring vhdl/verilog using blackbox capability and integrate legacy IP with generated HDL code.
You can also import vhdl/verilog as a cosimulation block and use HDL Verifier product to simulate with a supported HDL Simulator.
Please check further on these topics in product documentation.

Bharath Venkataraman
Bharath Venkataraman 2024 年 1 月 5 日
You can use the HDL Cosimulation block to simulate the HDL code, by applying input signals to and reading output signals from HDL code that is under simulation in the HDL simulator. You can manually fill in the details for this block or generate it using the Cosimulaton wizard.

カテゴリ

Help Center および File ExchangeHDL Coder についてさらに検索

製品

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by