Main Content

このページは機械翻訳を使用して翻訳されました。元の英語を参照するには、ここをクリックします。

Simulink でコシミュレーション用の HDL シミュレータを起動します

ModelSim® または Xcelium™ シミュレータを使用している場合は、このワークフローを使用して、MATLAB® プロンプトから HDL シミュレータを起動します。

Vivado® シミュレータを使用している場合、HDL Cosimulation ブロックはコシミュレーション用にコンパイルされたデザインをロードして実行するので、HDL シミュレータを別途起動する必要はありません。

MATLAB から HDL シミュレータを起動します

HDL Verifier™ 関数 vsim または nclaunch を呼び出して、MATLAB から直接 HDL シミュレーターを起動します。

>>vsim

両方のツール (MATLAB と HDL シミュレーター) が同じシステム上で実行されていない場合は、HDL シミュレーターを手動で起動し、HDL Verifier ライブラリを自分でロードする必要があることに注意してください。コシミュレーションライブラリを参照してください。

追加のパラメータを指定して vsim または nclaunch を呼び出すことができます。詳細についてはリファレンス ページを参照してください。

HDL シミュレータ実行可能ファイル (vsim (ModelSim) および nclaunch (Cadence® Xcelium) とも呼ばれる) がシステム パス上にあることを確認する必要があります。環境変数の設定手順については、システムのドキュメントを参照してください。

Linux ユーザー

シェルが MATLAB から起動された後、HDL シミュレータ実行可能ファイルがシステム パス上にまだ存在することを確認します。そうでない場合は、シェルの起動ファイルがパス環境変数からそれを削除していないことを確認してください。

Vivado シミュレータをコシミュレーションに使用する場合、コシミュレーションは共有 DLL ファイルを使用して単一のプロセスとして実行されるため、HDL シミュレータを個別に起動する必要はありません。

協調シミュレーション用の HDL モジュールのインスタンスをロードする

Xcelium ユーザーは、hdlsimulink 関数を使用して、コシミュレーション用の HDL モジュールのインスタンスをロードします。ModelSim ユーザーも、vsimulink 関数を使用して同じことを行います。

 HDL モジュール インスタンスのロード例 — Xcelium ユーザー

 HDL モジュール インスタンスのロード例 — ModelSim ユーザー

このコマンドは、manchester のシミュレーション ワークスペースを開き、シミュレータが HDL モジュールのパッケージとアーキテクチャをロードするときに、HDL シミュレータ コマンド ウィンドウに一連のメッセージを表示します。