Main Content

このページは機械翻訳を使用して翻訳されました。最新版の英語を参照するには、ここをクリックします。

Simulinkでコシミュレーション用の HDL シミュレーターを開始します

ModelSim®またはXcelium™シミュレーターを使用している場合は、このワークフローを使用して、 MATLAB®プロンプトから HDL シミュレーターを開始します。

Vivado®シミュレータを使用している場合、 HDL Cosimulationブロックはコシミュレーション用にコンパイルされたデザインをロードして実行するため、HDL シミュレータを個別に起動する必要はありません。

HDL シミュレーターをMATLABから開始します

MATLAB関数HDL Verifier™ またはvsimを呼び出して、 nclaunchから HDL シミュレータを直接起動します。

>>vsim

両方のツール (MATLABと HDL シミュレーター) が同じシステム上で実行されていない場合は、HDL シミュレーターを手動で起動し、 HDL Verifierライブラリを自分でロードする必要があることに注意してください。協調シミュレーションライブラリを参照してください。

追加パラメーターを指定してvsimまたはnclaunchを呼び出すことができます。詳細については参考ページを参照してください。

HDL シミュレーターの実行可能ファイル ( vsim (ModelSim) およびnclaunch (Cadence® Xcelium) - システム パス上にあります。環境変数の設定手順については、システムのマニュアルを参照してください。

Linuxユーザー

シェルがMATLABから起動された後も、HDL シミュレーターの実行可能ファイルがまだシステム パス上にあることを確認してください。そうでない場合は、シェル起動ファイルがパス環境変数から削除していないことを確認してください。

Vivadoシミュレーターをコシミュレーションに使用する場合、コシミュレーションは共有 DLL ファイルを使用した単一プロセスとして実行されるため、HDL シミュレーターを個別に起動する必要はありません。

コシミュレーション用の HDL モジュールのロード インスタンス

Xceliumユーザーは、 hdlsimulink関数を使用して、協調シミュレーション用の HDL モジュールのインスタンスをロードします。 ModelSimユーザーは、 vsimulink関数を使用して同じことを行います。

 HDL モジュール インスタンスのロード例 - Xceliumユーザー

 HDL モジュール インスタンスのロード例 - ModelSimユーザー

このコマンドは、 manchesterのシミュレーション ワークスペースを開き、シミュレーターが HDL モジュールのパッケージとアーキテクチャをロードするときに、HDL シミュレーター コマンド ウィンドウに一連のメッセージを表示します。