Main Content

このページは機械翻訳を使用して翻訳されました。元の英語を参照するには、ここをクリックします。

生成された SystemVerilog コードをカスタマイズする

カスタマイズされたコード生成のためのモデルの設定

  1. モデルを開き、Apps タブで HDL Verifier をクリックします。次に、[HDL Verifier] タブで [C Code Settings] をクリックします。Configuration Parameters ダイアログが Code Generation ペインに開きます。

  2. System target file の場合は、Browse をクリックして systemverilog_dpi_grt.tlc を選択します。

    Embedded Coder® のライセンスをお持ちの場合は、ターゲット systemverilog_dpi_ert.tlc を選択できます。このターゲットを使用すると、追加のコード生成オプション (モデル構成パラメーターのコード生成ペイン) にアクセスできます。

  3. Toolchain の場合、Build process セクションで、リストから使用するツールチェーンを選択します。ツールチェーンの選択に関するガイダンスについては、クロスプラットフォームDPIコンポーネントを生成する を参照してください。

    オプションでコンパイルのフラグを選択できます。Build configurationの場合はSpecifyを選択します。現在のフラグを表示するには、Show Settings をクリックします。

  4. 左側のペインで、Code Generation を展開し、SystemVerilog DPI を選択します。

  5. Customize generated SystemVerilog codeを選択します。

  6. Source file template を設定して、使用する SystemVerilog テンプレートを指定します。

    指定した Source file template の内容を表示するには、Edit を選択します。この例では、HDL Verifier™、svdpi_grt_template.vgt で提供されるテンプレート ファイルの内容を示しています。

    カスタマイズされたテンプレートの詳細については、カスタマイズ を参照してください。

  7. これらのオプションを受け入れ、[構成パラメータ] ダイアログ ボックスを閉じるには、[OK] をクリックします。次に、カスタマイズされた SystemVerilog DPI コンポーネントを生成する に移動します。

カスタマイズされた SystemVerilog DPI コンポーネントを生成する

  1. Simulink® ツールストリップの HDL Verifier タブで、Generate DPI Component を選択します。

    代わりに、MATLAB® コマンドラインから slbuild (Simulink) 関数を使用することもできます。

  2. ホスト マシン用にコンポーネントをビルドした場合は、そのコンポーネントを使用できるようになります。コンポーネントを別のオペレーティング システムを搭載した別のマシンに移植する場合は、クロスプラットフォームDPIコンポーネントを生成する を参照してください。