Main Content

このページは機械翻訳を使用して翻訳されました。元の英語を参照するには、ここをクリックします。

uvmcodegen.uvmconfig

UVM 構成オブジェクト

R2020b 以降

    アドオンが必要: この機能には ASIC Testbench for HDL Verifier アドオンが必要です。

    説明

    uvmcodegen.uvmconfig オブジェクトは、ユニバーサル検証方法論 (UVM) 構成オブジェクトです。このオブジェクトを使用して、HDL シミュレーション タイムスケールなどの UVM 生成オプションを構成します。

    作成

    説明

    cfgUvm = uvmcodegen.uvmconfig は、生成された SystemVerilog コードのパラメータを構成するデフォルトの UVM 構成オブジェクトを作成します。

    cfgUvm = uvmcodegen.uvmconfig(Name,Value) は、1 つ以上の名前と値のペアの引数を使用してプロパティを設定します。各プロパティ名を引用符で囲みます。たとえば、uvmcodegen.uvmConfig('timescale','1ps/1ps') は、時間単位に 1 ピコ秒、HDL シミュレーション精度に 1 ピコ秒のタイムスケール署名を持つ UVM 構成オブジェクトを指定します。

    プロパティ

    すべて展開する

    HDL シミュレータのタイムスケール ディレクティブ。'Tu/Tp' として指定されます。ここで、Tu は時間単位で、Tp は時間の精度です。

    例: 10us/100ns は、100 ナノ秒の HDL シミュレーション精度で 10 マイクロ秒の時間単位を指定します。

    データ型: char | string

    生成されたファイルの出力ディレクトリ。出力ディレクトリへの相対パスまたは絶対パスを表す文字ベクトルまたは文字列スカラーとして指定されます。

    例: C:\UVM\my_uvm_build は、uvmbuild 関数が生成された UVM ファイルと DPI ファイルを配置するディレクトリの名前を指定します。

    データ型: char | string

    すべて折りたたむ

    生成された UVM テストベンチを 1ns/1ps のタイムスケールに構成します。この場合、時間単位は 1 ナノ秒、シミュレーション精度は 1 ピコ秒になります。

    cfgUVM=uvmcodegen.uvmconfig('timescale','1ns/1ps')
    cfgUVM = 
      uvmconfig with properties:
    
             timescale: '1ns/1ps'
        buildDirectory: './uvm_build'
    
    

    バージョン履歴

    R2020b で導入