Main Content

HDL コードの生成

モデルまたはサブシステムの HDL コード生成を有効または無効にする

モデル コンフィギュレーション ペイン: グローバル設定 / 詳細設定

説明

モデルまたはサブシステムの HDL コード生成を有効または無効にします。HDL コードを生成する Subsystem を指定するには、[HDL を生成] パラメーターを使用します。その後、[HDL コード生成] ペインの [生成] ボタンをクリックします。既定では、[VHDL] 言語で HDL コードが生成され、hdlsrc フォルダーに格納されます。

設定

on (既定値) | off

既定の設定: オン

on

HDL コードを生成するにはこの設定をオンにします。

off

この設定をオフにすると、モデルの HDL コードは生成できません。

ヒント

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

既定では、GenerateHDLCode プロパティは選択されています。コードを生成するには、関数 makehdl を使用します。たとえば、このコマンドで、sfir_fixed モデル内の symmetric_fir サブシステムに対する HDL コードを生成します。

makehdl('sfir_fixed/symmetric_fir')

推奨設定

推奨設定なし。

プログラムでの使用

パラメーター: GenerateHDLCode
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'on'

バージョン履歴

R2012a で導入