Main Content

Requirements Table ブロックのデータの定義

R2022a 以降

Requirements Table ブロックでは、ブロックの入力、出力、パラメーター、ローカル データ、および定数を表すデータを使用してシミュレーション情報を管理します。Requirements Table ブロックのデータは、[シンボル] ペインまたはモデル エクスプローラーを使用して定義したり削除したりできます。その後、プロパティ インスペクターまたはモデル エクスプローラーでデータのプロパティを設定できます。

データの作成と削除

データの定義と削除は、[シンボル] ペインまたはモデル エクスプローラーを使用して実行できます。

[シンボル] ペインを使用するには、ブロックをダブルクリックして開きます。[モデル化] タブの [設計] セクションで [シンボル] ペイン をクリックします。[シンボル] ペインで、[データの作成] ボタン をクリックします。データを削除するには、データを右クリックして [削除] をクリックします。

モデル エクスプローラーを使用する場合は次のとおりです。[モデル化] タブの [設計] セクションで [モデル エクスプローラー] をクリックします。[モデルの階層構造] ペインでモデルのツリー ビューを展開し、[要件テーブル] ブロックを選択します。データを追加するには、[追加][データ] をクリックするか、[データの追加] ボタン をクリックします。データを削除するには、データの名前を選択し、[編集][削除] をクリックするか、[削除] ボタン をクリックします。

ブロックのデータは、[要件] タブまたは [仮定] タブのいずれかのテーブルで定義して使用する必要があります。定義したデータがいずれのテーブルにも入力されていないと、[シンボル] ペインのデータの横に [未使用のシンボル] アイコン が表示されます。このアイコンは、いずれかのテーブルにデータを入力すると削除されます。いずれかのテーブルに入力されたデータが定義されていないと、[シンボル] ペインのデータの横に [未定義のシンボル] アイコン が表示されます。既にいずれかのテーブルに入力されているデータを定義するには、[未定義のシンボルを解決] ボタン をクリックします。

一般的なデータのプロパティの設定

データのプロパティは、[シンボル] ペインとプロパティ インスペクターを使用するかモデル エクスプローラーを使用して変更できます。

[シンボル] ペインを使用してプロパティを編集するには、次のいずれかの方法を使用してプロパティ インスペクターでプロパティを開きます。

  • データの名前を右クリックして [検査] をクリックします。

  • データの名前をクリックします。[モデル化] タブの [データの設計] セクションで [プロパティ インスペクター] をクリックします。

モデル エクスプローラーを使用してプロパティを編集するには、データをクリックし、[一般] タブでプロパティを編集します。

名前

データの名前を指定します。MATLAB® で使用される同じ命名規則を使用します。このプロパティは [シンボル] ペインでも直接変更できます。

スコープ

データをメモリにどのように格納するかをブロックとの相対的な関係で指定します。このプロパティはデータの機能範囲を決定します。このプロパティは [シンボル] ペインの [タイプ] 列でも変更できます。[スコープ] は次の値のいずれかに設定できます。

スコープ説明
ローカル

現在のブロックでのみ定義されたデータです。タイム ステップごとにローカル データを定義する必要があります。ブロックでは各タイム ステップの最後にローカル データをメモリから消去します。[スコープ][ローカル] に設定されたデータは、[シンボル] ペインでは [ローカル データ] アイコン で示されます。

定数

タイム ステップごとにブロックで読み取り専用の定数値として認識されるデータです。[スコープ][定数] に設定されたデータは、[シンボル] ペインでは [定数データ] アイコン で示されます。

パラメーター

MATLAB ワークスペース、モデル ワークスペース、またはこのブロックを含むマスク サブシステムのワークスペースにある同じ名前の変数に格納されたデータです。パラメーター データはタイム ステップごとにブロックで認識されます。ブロックで認識される複数のワークスペースに同じ名前の変数がある場合は、ワークスペース階層内でそのブロックに最も近い変数が使用されます。詳細については、モデル ワークスペース (Simulink)を参照してください。[スコープ][パラメーター] に設定されたデータは、[シンボル] ペインでは [パラメーター データ] アイコン で示されます。

入力

Requirements Table ブロックへの入力信号のデータです。[スコープ][入力] に設定されたデータは、[シンボル] ペインでは [入力データ] アイコン で示されます。

出力

Requirements Table ブロックの出力信号のデータです。タイム ステップごとに出力データを定義する必要があります。[スコープ][出力] に設定されたデータは、[シンボル] ペインでは [出力データ] アイコン で示されます。

[前提条件] 列、[事後条件] 列、および [アクション] 列には、データで使用できる [スコープ] に関していくつかの制限があります。

  • [前提条件] 列のエントリでは、入力データを少なくとも 1 つ使用する必要があります。

  • [事後条件] 列のエントリでは、[解析用の設計モデル出力として扱う] プロパティを有効にした入力データを少なくとも 1 つ使用する必要があります。

  • アクションで入力データの値を再割り当てすることはできません。

端子

データに関連付けられている端子のインデックスを指定します。このプロパティは [シンボル] ペインの [端子] 列で設定できます。このプロパティは、[スコープ] プロパティが [入力] または [出力] に設定されたデータに対してのみ適用されます。

データを信号オブジェクトに関連付ける

データのプロパティを MATLAB ベース ワークスペースまたは Simulink® モデル ワークスペースに含まれる同じ名前の Simulink.Signal オブジェクトから明示的に継承するように指定します。有効にした場合、データに以下のプロパティを継承できます。

  • サイズ

  • 実数/複素数

  • 単位

  • 最小値

  • 最大値

  • 初期値

  • ストレージ クラス

  • サンプリング モード

このプロパティは、[スコープ] プロパティが [出力] または [ローカル] に設定されたデータに対してのみ適用されます。このプロパティは、コンフィギュレーション パラメーター [信号の関連付け][なし] 以外の値に設定している場合にのみ表示されます。詳細については、記号の解釈 (Simulink)を参照してください。

調整可能

データのソースとして使用されているパラメーターが調整可能かどうかを指定します。詳細については、調整可能なパラメーター (Simulink)を参照してください。このプロパティは、[スコープ] プロパティが [パラメーター] に設定されたデータに対してのみ適用されます。パラメーターが定数式でなければならない場合はこのオプションを解除します。

サイズ

データのサイズを指定します。このプロパティには、スカラー値または値の MATLAB ベクトルを指定できます。[サイズ] の既定の設定は –1 です。これは、サイズが継承されることを示します。詳細については、Specify Size of Requirements Table Block Dataを参照してください。

可変サイズ

データのサイズが可変かどうかを指定します。このプロパティは、[スコープ] プロパティが [出力] または [ローカル] に設定されたデータにのみ適用されます。入力データは、対応する信号からサイズの変動性を継承します。

このプロパティは、Requirements Table ブロックの [可変サイズの配列をサポート] プロパティが有効な場合にのみ表示されます。可変サイズの配列をサポートを参照してください。

実数/複素数

実数または複素数のデータを指定します。[実数/複素数] は次の値のいずれかに設定します。

実数/複素数説明
継承データは [スコープ] プロパティに基づいて実数/複素数を継承します。入力データと出力データは、データに接続されている Simulink 信号から実数/複素数を継承します。ローカル データとパラメーター データは、データがバインドされているパラメーターから実数/複素数を継承します。
オフデータは実数です。
オン

データは複素数です。

このプロパティは、[スコープ] プロパティが [定数] に設定されたデータには適用されません。

データのデータ型を指定します。データ型は次のようにして指定できます。

  • [型] ドロップダウン リストから組み込みの型を選択する。

  • データ型として評価される式を [型] フィールドに入力する。

  • モデル エクスプローラーで、データ型アシスタントを使用して [モード] プロパティを指定してから、そのモードに基づいてデータ型を指定する。

    メモ

    データ型アシスタントを表示するには、[データ型アシスタントを表示] ボタン をクリックします。

詳細については、Requirements Table ブロックのデータ型の設定を参照してください。

データ型を固定小数点ツールに対しロックする

現在の固定小数点型が、固定小数点ツール (Fixed-Point Designer)で選択されるオートスケールされた型に置き換えられないようにします。固定小数点ツールを使用したデータ オブジェクトのオートスケーリング (Fixed-Point Designer)を参照してください。

解析用の設計モデル出力として扱う

Requirements Table ブロックで、接続されたモデルからの出力信号として識別するデータを指定します。事後条件を使用する場合、それぞれの事後条件の少なくとも 1 つのデータでこのプロパティを有効にする必要があります。前提条件とアクションだけを使用する場合は、このプロパティを有効にしないでください。このプロパティは、[スコープ] プロパティが [入力] である場合にのみ適用されます。このプロパティを有効にした入力データを "設計モデル出力" と呼びます。

単位 (m、m/s^2、N*m など)

データの物理単位を指定します。既定では、このプロパティは対応する入出力端子の Simulink 信号から単位を継承します。このプロパティは、[スコープ] プロパティが [入力] または [出力] に設定されたデータに対してのみ適用されます。

初期値

データ オブジェクトの初期値。このプロパティのオプションは、データ オブジェクトのスコープに応じて異なります。

スコープ初期値のオプション
ローカル

初期値を指定することはできません。

定数

初期値を指定することはできません。

パラメーター

初期値を指定することはできません。

入力

このデータを getPrevioushasChangedhasChangedTo、または hasChangedFrom に対する入力として使用する場合、初期値を指定する必要があります。初期値は、シミュレーション時間が 0 の時点のデータの前の値を定義します。

出力

このデータを getPrevioushasChangedhasChangedTo、または hasChangedFrom に対する入力として使用する場合、初期値を指定する必要があります。初期値は、シミュレーション時間が 0 の時点のデータの前の値を定義します。

定数値

データの値を指定します。このプロパティは [シンボル] ペインの [値] 列で直接変更します。[定数値] フィールドを空白のままにすると、データは既定値の 0 に解決されます。

制限範囲のプロパティの設定

プロパティ インスペクターまたはモデル エクスプローラーの [制限範囲] セクションで、データに対して許容される値の範囲を設定できます。Requirements Table ブロックでは、この範囲を使用して、ブロックに出入りするデータやブロックで使用されるデータの値を検証します。場合によっては、[仮定] タブのテーブルを使用して制限範囲を定義することもできます。要件への仮定の追加を参照してください。数値のスカラーとして評価される式またはパラメーターを入力できます。範囲の指定には 2 つのプロパティを使用します。これらのプロパティは、[スコープ] プロパティが [入力][出力]、または [ローカル] に設定されたデータに対してのみ適用されます。

最小値

シミュレーション時にデータに対して許容される最小値を指定します。既定値は -inf です。

最大値

シミュレーション時にデータに対して許容される最大値を指定します。既定値は inf です。

ログのプロパティの設定

プロパティ インスペクターの [プロパティ] タブまたはモデル エクスプローラーの [ログ] タブで、ログのプロパティを設定できます。信号のログの詳細については、信号ログを使用した信号データの保存 (Simulink)を参照してください。これらのプロパティは、[スコープ] プロパティが [出力] または [ローカル] に設定されたデータに対してのみ割り当てることができます。

信号データのログ

信号のログを有効にするかどうか。信号のログを使用すると、シミュレーション中にデータの値が MATLAB ワークスペースに保存されます。

ログ名

データのログで使用する信号名。

  • データの名前を使用するには、[信号名を使用] を選択します。

  • 別の名前を指定するには、[カスタム] を選択してカスタムのログ名を入力します。

直近のデータ点数に制限

ログに記録するデータ点の数を制限するかどうか。たとえば、データ点の最大数を 5000 に設定すると、ブロックではシミュレーションで生成された最後の 5000 個のデータ点だけがログに記録されます。

間引き

指定した間引き間隔を使用してサンプルをスキップすることでログ データの量を制限するかどうか。たとえば、間引き間隔を 2 に設定すると、ブロックではサンプルが 1 つおきにログに記録されます。

説明のプロパティの設定

モデル エクスプローラーで変更するデータをクリックした後、[説明] タブで次のプロパティを設定できます。次のプロパティは、プロパティ インスペクターで [プロパティ] タブをクリックして設定することもできます。

説明

データの説明を指定します。

参考

関連するトピック