Main Content

このページは機械翻訳を使用して翻訳されました。元の英語を参照するには、ここをクリックします。

クロスネットワークコシミュレーション

クロスネットワークコシミュレーションを実行する理由

セットアップが MATLAB® および Simulink® ソフトウェアを実行する 1 台のマシンと HDL シミュレーターを実行する別のマシンで構成されている場合、クロスネットワーク コシミュレーションを実行できます。通常、Windows® プラットフォーム マシンは MATLAB および Simulink ソフトウェアを実行し、Linux® マシンは HDL シミュレーターを実行します。ただし、これらの手順は、HDL Verifier™ と HDL シミュレータがサポートするプラットフォームの任意の組み合わせに適用されます。

Vivado® 協調シミュレーションは共有 DLL を持つ単一プロセスとして実行されるため、クロスネットワーク協調シミュレーションはサポートされません。

クロスネットワークコシミュレーションの準備

HDL シミュレータとネットワーク経由で MATLAB または Simulink をコシミュレーションする前に、次の手順を実行します。

  1. 設計ファイルとテストファイルを作成します。

     ModelSim ユーザー

     Xcelium ユーザー

  2. HDL シミュレータがインストールされているマシンに MATLAB と HDL Verifier をインストールします。

    メモ

    R2022b より前のリリースでは、Linux ライブラリを Windows インストールからコピーすることができ、その逆も可能です。

    R2022b 以降、MATLAB はプラットフォーム固有のライブラリのみを出荷するため、HDL シミュレータがインストールされているマシンに MATLAB もインストールする必要があります。

  3. HDL シミュレータがインストールされているマシンで、HDL Verifier ライブラリを既知の場所にコピーします。

    ライブラリはデフォルトでインストールされたフォルダーにあります:

    matlabroot/toolbox/edalink/extensions/adaptor/platform/productlibraryname_
    compiler_tag.ext

    ここで、次の表に示す変数には、示された値が設定されます。

    変数
    matlabrootMATLAB ソフトウェアをインストールした場所。デフォルト値は MATLAB/version です。バージョンはインストールされているリリース (たとえば、R2009a) です。
    adaptorincisive または modelsim
    platformHDL シミュレーターを搭載したマシンのオペレーティング システム (例: linux32)。(詳細については、コシミュレーションライブラリを参照してください。)
    productlibrarynameMATLAB および Simulink のライブラリ ファイルの名前 (たとえば、ModelSim® ユーザーの場合は liblfmhdlcliblfmhdls、Xcelium™ ユーザーの場合は liblfihdlcliblfihdls)。コシミュレーションライブラリを参照してください。
    compiler_tagライブラリの作成に使用されたコンパイラ (たとえば、gcc32 または spro)。詳細については、コシミュレーションライブラリを参照してください。
    extdll (ダイナミック リンク ライブラリ - Windows のみ) または so (共有ライブラリ拡張)

    出荷されているすべての HDL Verifier HDL 共有ライブラリのリストについては、デフォルトライブラリ を参照してください。

    ライブラリをコピーした場所をメモしてください。この情報は、実際に HDL シミュレータへの接続を確立するときに必要になります。この例では、サンプル コードでは宛先フォルダーを HDLSERVER_LIB_LOCATION として参照します。

MATLAB と共シミュレーションしたい場合は、MATLAB を使用したクロスネットワークコシミュレーションの実行 を参照してください。Simulink と共シミュレーションする場合は、Simulink を使用したクロスネットワークコシミュレーションの実行 を参照してください。

MATLAB を使用したクロスネットワークコシミュレーションの実行

ネットワーク経由で HDL シミュレータと MATLAB のコシミュレーション セッションを実行するには、次の手順に従います。

 ModelSim ユーザー:

 Xcelium ユーザー

Simulink を使用したクロスネットワークコシミュレーションの実行

ネットワーク経由で HDL シミュレータと Simulink のコシミュレーション セッションを実行する場合は、次の手順に従います。

 ModelSim ユーザー

 Xcelium ユーザー

次に、シミュレーションを実行し、ブロックを追加するか、その他の必要な変更を加えます。Simulink と HDL シミュレーターをコシミュレーションに使用する手順については、テストベンチとしてのSimulink または Simulink によるコンポーネントシミュレーション を参照してください。