フィルターのクリア

problem with simulation tool for HDL Coder when 'cosimulation model' and 'SystemVerilog DPI test bench' is selected

2 ビュー (過去 30 日間)
I have read hdlcoder_ug.pdf,and it says that vivado simulator can be used in page 586. However,there are only Modelsim and Candence in HDL Coder Generation Pane,and vivado simulator and VCS are not exsisting.

回答 (1 件)

Tao Jia
Tao Jia 2017 年 11 月 2 日
We are supporting Vivado simulator in certain scenarios but not all cases. For example, vivado simulator is supported in the MATLAB-to-HDL workflow, but not in the Simulink workflow.
Hope this helps, Tao
  1 件のコメント
shuang zeng
shuang zeng 2017 年 11 月 3 日
You means that I need to use Matlab-to-HDL workflow for my Simulink model to use Vivado Simulator ? Thank you !

サインインしてコメントする。

カテゴリ

Help Center および File ExchangeHDL Coder についてさらに検索

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!