フィルターのクリア

hdl coder stateflow bit concatenation

3 ビュー (過去 30 日間)
Amish Rughoonundon
Amish Rughoonundon 2016 年 3 月 15 日
コメント済み: Amish Rughoonundon 2016 年 3 月 16 日
Hi, I am using matlab R2015b with staflow and hdl coder to create VHDL code.
I need to do something like this in vhdl in a matlab function residing in a stateflow chart that hdl coder understands and can compile to vhdl and I can't figure it out.
a : std_logic_vector(2 downto 0);
b, c, d : std_logic;
a <= b & c & d;
Thanks for the help, Amish

採用された回答

Tim McBrayer
Tim McBrayer 2016 年 3 月 16 日
To concatenate fixpt variables being used as bit fields, use the MATLAB bitconcat function. To extract a range of bits from a larger word you can use bitsliceget.
There are Simulink blocks in the HDL Coder library, in "HDL Operations" that implement this functionality directly in Simulink.
  1 件のコメント
Amish Rughoonundon
Amish Rughoonundon 2016 年 3 月 16 日
Thanks, that was the answer.

サインインしてコメントする。

その他の回答 (0 件)

カテゴリ

Help Center および File ExchangeCode Generation についてさらに検索

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by