フィルターのクリア

Info

この質問は閉じられています。 編集または回答するには再度開いてください。

Black box in system generator

2 ビュー (過去 30 日間)
Sandeep Kumar
Sandeep Kumar 2015 年 11 月 19 日
閉鎖済み: Sabin 2023 年 2 月 2 日
im implementing an "and" gate in system generator using black box, i have coded the "and" gate in vhdl, i have called the block in black box , my a,b,c are all "std_logic" only, i have given fix_1_0 as gateway input to the black box with constant simulink block, but im nt able to simulate the logic in simulator, its nt showing any simulation error, nothing ,kindly help me !!!

回答 (0 件)

この質問は閉じられています。

製品

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by