フィルターのクリア

5G NR MIB Recovery Using Xilinx RFSoC Device: Segmentation Fault

4 ビュー (過去 30 日間)
Danna
Danna 2023 年 10 月 26 日
コメント済み: Danna 2024 年 1 月 21 日
MATLAB: v2022b
Vivado ML Enterprise Edition: v2020.2
Ubuntu: 20.04 LTS
I am running the https://www.mathworks.com/help/soc/ug/5GNRCellSearchUsingXilinxRFSoCDevice.html example on the Zynq Ultrascale+RFSoC ZCU111.
I am providing 256 as the Burst length on the Software-to-AIX Stream. Followed by Configure, Build & Deploy, during the build process synthesis MATLAB crashes with the following crash report:
EDIT: An important part that I realized maybe important is that my browsers also crash upon MATLABs crash, I'm not exactly sure how this would be relavant but it might suggest memory problems?
--------------------------------------------------------------------------------
Trace trap detected at 2023-10-25 19:15:04 -0700
--------------------------------------------------------------------------------
Configuration:
Crash Decoding : Disabled - No sandbox or build area path
Crash Mode : continue (default)
Default Encoding : UTF-8
Deployed : false
Desktop Environment : ubuntu:GNOME
GNU C Library : 2.31 stable
Graphics Driver : Uninitialized hardware
Graphics card 1 : Not Started 0x10de ( 0x10de ) 0x25a0 Version 525.125.6.0 (0-0-0)
Graphics card 2 : 0x1002 ( 0x1002 ) 0x1638 Version 0.0.0.0 (0-0-0)
Java Version : Java 1.8.0_202-b08 with Oracle Corporation Java HotSpot(TM) 64-Bit Server VM mixed mode
MATLAB Architecture : glnxa64
MATLAB Entitlement ID : 2715264
MATLAB Root : /usr/local/MATLAB/R2022b
MATLAB Version : 9.13.0.2320565 (R2022b) Update 6
OpenGL : hardware
Operating System : Ubuntu 20.04.6 LTS
Process ID : 59931
Processor ID : x86 Family 25 Model 80 Stepping 0, AuthenticAMD
Session Key : c3911edd-7d1f-46f3-9025-7d7a376822e8
Window System : The X.Org Foundation (12013000), display :1
Only log that is somewhat informative about the fault is here from vivado
Xilinx Environment Variables
----------------------------
XILINX: /tools/Xilinx/Vivado/2020.2/ids_lite/ISE
XILINX_DSP: /tools/Xilinx/Vivado/2020.2/ids_lite/ISE
XILINX_HLS: /tools/Xilinx/Vitis_HLS/2020.2
XILINX_PLANAHEAD: /tools/Xilinx/Vivado/2020.2
XILINX_VITIS:
XILINX_VIVADO: /tools/Xilinx/Vivado/2020.2
XILINX_VIVADO_HLS: /tools/Xilinx/Vivado/2020.2
GUI allocated memory: 154 MB
GUI max memory: 3,072 MB
Engine allocated memory: 1,552 MB
Copyright 1986-2020 Xilinx, Inc. All Rights Reserved.
*/
// TclEventType: START_GUI
// Tcl Message: start_gui
// Tcl Command: 'rdi::info_commands {device::*}'
// Tcl Command: 'rdi::info_commands {debug::*}'
// Tcl Command: 'rdi::info_commands {*}'
// HMemoryUtils.trashcanNow. Engine heap size: 1,552 MB. GUI used memory: 65 MB. Current time: 10/25/23, 10:45:28 AM PDT
selectMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ac
selectMenu(PAResourceItoN.MainMenuMgr_DESIGN_HUBS, "Design Hubs"); // ah
selectMenuItem(PAResourceCommand.PACommandNames_LICENSE_MANAGE, "Manage License..."); // ak
dismissMenu(PAResourceItoN.MainMenuMgr_HELP, "Help"); // ac
// Run Command: PAResourceCommand.PACommandNames_LICENSE_MANAGE
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking 1001 ms.
// WARNING: HEventQueue.dispatchEvent() is taking
I have seen other posts about segmentation fault including:
But I don't see any post that might explain since these are just out-of-the-box examples provided by Matlab inaccordance with Vivado.
Any help is really appreciate!

採用された回答

UDAYA PEDDIRAJU
UDAYA PEDDIRAJU 2024 年 1 月 20 日
Hi Danna,
A segmentation fault error typically indicates an attempt to access a restricted memory location. Since you've observed that your browsers crash when MATLAB does, this could suggest a system-wide memory issue. To address this, you can try increasing MATLAB's memory limit using the “ulimit” command in Ubuntu and ensure that your system has enough resources (RAM and CPU) to handle the workload.
Make sure you are using the latest versions of all required software and that your hardware drivers are up to date. If the problem persists, reviewing the Vivado logs, MATLAB crash report, and system logs may provide further insight. Also, you can try rebooting the system after all the updates.
You can refer to the following community answers regarding the segmentation fault:
  1. https://www.mathworks.com/matlabcentral/answers/242486-how-can-i-fix-the-segmentation-fault-core-dumped-bug.
  2. https://www.mathworks.com/matlabcentral/answers/243816-segmentation-fault-when-starting-matlab.
This should resolve your issue!
  1 件のコメント
Danna
Danna 2024 年 1 月 21 日
Dear Udaya,
As you suggested, the issue was system-wide memory. While using ulimit certainly does allow more options, the bottle-neck was simply the available memory.
Thanks,
Danna

サインインしてコメントする。

その他の回答 (0 件)

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by