How to implement Matlab Mfile code onto FPGA using Xilinx System Generator

2 ビュー (過去 30 日間)
Desta Edosa
Desta Edosa 2011 年 7 月 18 日
回答済み: Veera Kanmani 2018 年 4 月 20 日
Dear,
I need to implement (Down load) some code written in Matlab (Mfile) onto Spartan-3E FPGA using Xiling System Generator Tool. I tried to use System generator Mcode block set to synthesize my Mfile code. But I got error. Can you help me how to implement this project, please?
Thanks,
Desta
  1 件のコメント
Kaustubha Govind
Kaustubha Govind 2011 年 7 月 19 日
You might have better luck contacting Xilinx Tech Support about this.

サインインしてコメントする。

回答 (1 件)

Veera Kanmani
Veera Kanmani 2018 年 4 月 20 日
https://www.mathworks.com/tagteam/74244_92077v00_Xilinx_WhitePaper_final.pdf

カテゴリ

Help Center および File ExchangeHDL Verifier についてさらに検索

Community Treasure Hunt

Find the treasures in MATLAB Central and discover how the community can help you!

Start Hunting!

Translated by