Main Content

HDL を生成

HDL コード生成用のサブシステムまたはモデルを選択する

モデル コンフィギュレーション ペイン: HDL コード生成

説明

コードが生成されるサブシステムまたはモデルを選択します。リストにはルート モデルおよびモデル内のサブシステムへのパスが含まれています。このパラメーターを指定し、[生成] ボタンをクリックすると、HDL Coder™ によって指定した Subsystem のコードが生成されます。既定では、HDL コードは VHDL 言語で、hdlsrc フォルダーに生成されます。

設定

ルート モデルの最上位サブシステムへのパス

既定の設定: ルート モデルの最上位サブシステムが選択されます。

ヒント

たとえば、以下のいずれかの方法を使用して、sfir_fixed モデルの symmetric_fir サブシステムの HDL コードを生成できます。

  • HDLSubsystem プロパティを makehdl への引数として使用してサブシステムを指定します。

    makehdl('sfir_fixed','HDLSubsystem','sfir_fixed/symmetric_fir')

  • サブシステムへのパスを makehdl への最初の引数として渡します。

    makehdl('sfir_fixed/symmetric_fir')

プログラムでの使用

プロパティ: HDLSubsystem
型: 文字ベクトル
値: サブシステムへの有効なパス
既定の設定: ルート モデルの最上位サブシステムへのパス

バージョン履歴

R2012a で導入

参考