Main Content

このページは機械翻訳を使用して翻訳されました。最新版の英語を参照するには、ここをクリックします。

マンチェスター受信機のバッチモード協調シミュレーション

この例では、MATLAB®を使用して HDL シミュレーターをバッチ モードで起動し、 HDL Verifier™ HDL Cosimulation ブロックを使用してSimulink®で協調シミュレーションを実行する方法を示します。

1. モデルシム/クエストシム

上の図に表示されているコマンドは、次の手順を示しています。

  • HDL Verifierの vsim コマンドを呼び出すと、「runmode」プロパティを「Batch」に設定することにより、バッチ モードで HDL シミュレーターが開始されます。vsim を発行すると、HDL シミュレータも起動され、追加のコマンド (manchestercmds.m で指定) によって HDL デザインがコンパイルされ、 HDL Verifier HDL コシミュレーション ライブラリがロードされます。

vsim('tclstart', manchestercmds, 'runmode', 'Batch');
  • Linux® マシンで実行している場合は、HDL シミュレーター プロセスがバックグラウンドで開始されます。Windows® では、HDL シミュレーター用の新しいコマンド ウィンドウが開きます。MATLABとSimulink は、協調シミュレーションの開始を待機します。

  • HDL Verifier pingHdlSim コマンドは、HDL シミュレーター サーバーが協調シミュレーションの準備ができているかどうかを検出します。pingHdlSim の timeout 引数は、HDL シミュレーターが開始するまで 100 秒待機することを指定します。HDL シミュレーターがその時間内に起動できない場合は、エラーが報告されます。

pingHdlSim(100);
  • HDL シミュレーター サーバーの準備ができていることをMATLAB が(pingHdlSim 経由で) 検出すると、 Simulink は協調シミュレーションを開始します。

sim('manchester_batch',[0 50000]);

2.ゼリウム

上の図に表示されているコマンドは、次の手順を示しています。

  • HDL Verifierの nclaunch コマンドを呼び出すと、「runmode」プロパティを「Batch」に設定することにより、HDL シミュレーターがバッチ モードで開始されます。nclaunch を発行すると、HDL デザインがコンパイルおよび詳細化され、 HDL Verifier HDL コシミュレーション ライブラリがロードされます。

nclaunch( ...
       'rundir', 'TEMPDIR', ...
       'tclstart', { ...
         ['exec xmvlog -64bit -linedebug ' vlogFiles{:}], ...
          'exec xmelab -64bit -access +rwc manchester', ...
          'hdlsimulink manchester' }, ...
          'runmode', 'Batch');
  • HDL シミュレーターのプロセスがバックグラウンドで開始されます。MATLABとSimulink は、協調シミュレーションの開始を待機します。

  • HDL Verifier pingHdlSim コマンドは、HDL シミュレーター サーバーが協調シミュレーションの準備ができているかどうかを検出します。pingHdlSim の timeout 引数は、HDL シミュレーターが開始するまで 100 秒待機することを指定します。HDL シミュレーターがその時間内に起動できない場合は、エラーが報告されます。

pingHdlSim(100);
  • HDL シミュレーター サーバーの準備ができていることをMATLAB が(pingHdlSim 経由で) 検出すると、 Simulink は協調シミュレーションを開始します。

sim('manchester_batch_incisive',[0 50000]);

  • 協調シミュレーションが完了すると、HDL シミュレーターは自動的に終了します。