Main Content

このページは前リリースの情報です。該当の英語のページはこのリリースで削除されています。

マルチサイクル パス制約のパラメーター

このページでは、[コンフィギュレーション パラメーター] ダイアログ ボックスの [HDL コード生成][最適化][一般] タブにあるコンフィギュレーション パラメーターについて説明します。合成ツールは、転送元レジスタから転送先レジスタにデータが 1 クロック サイクル以内に伝播することを要求します。マルチサイクル パスは 1 クロック サイクル以内に実行を完了できず、タイミング要件を満たすことができません。マルチサイクル パスのタイミング要件を満たすには、マルチサイクル パス制約のパラメーターを使用して、レジスタ間パス情報ファイルを生成するか、タイミング コントローラー イネーブル信号を使用したイネーブルベースの制約を生成します。

イネーブルベースの制約

モデルでマルチサイクル パスのタイミング要件を満たすために、イネーブルベースの制約を使用します。制約は、[クロックの入力][単一] に設定されているモデルに適用されます。このオプションは、マルチレート モデルで遅いレートの領域のタイミングを緩和するための制約ファイルを作成するのに役立ちます。

イネーブルベースの制約は、レジスタ間のデータの伝播に複数クロック サイクルを使用可能にすることでタイミング要件を緩和します。制約ではタイミング コントローラー イネーブル信号を使用してイネーブルベースのレジスタ グループを作成します。各グループのレジスタは同じクロック イネーブルによって駆動されます。

設定

既定の設定: オフ

オン

この設定を有効にして HDL コードを生成すると、HDL Coder™ は命名規則 dutname_constraints に従って制約ファイルを生成します。ファイル名の形式は、指定した合成ツールに依存します。制約ファイルはマルチサイクル パスのタイミング要件を定義します。ファイルには、セットアップとホールドの時間に関する情報を計算するためのクロック倍数に関する情報が含まれています。

オフ

マルチサイクル パス制約ファイルを生成しません。

依存関係

  • [イネーブルベースの制約] チェック ボックスをオンにするときは、[クロック レート パイプライン]Off になっていることを確認してください。イネーブルベースのマルチサイクル パス制約の使用は、クロックレート パイプライン最適化の代替方法です。[HDL コード生成][最適化][パイプライン] タブで、クロックレート パイプラインのチェック ボックスをオフにすることができます。

  • [クロックの入力][単一] に設定します。[HDL コード生成][グローバル設定][クロック設定][クロックの入力] でクロックの入力を設定できます。

  • マルチサイクル パス制約ファイルを生成するには、オーバーサンプリング係数は 1 より大きくなくてはなりません。[HDL コード生成][グローバル設定][クロック設定][オーバーサンプリング係数] でオーバーサンプリング値を指定できます。

コマンド ライン情報

パラメーター: MulticyclePathConstraints
型: 文字ベクトル
値: 'on' | 'off'
既定の設定: 'off'

このプロパティを設定するには、関数 hdlset_param または makehdl を使用します。プロパティの値を表示するには、関数 hdlget_param を使用します。

たとえば、次のいずれかの方法を使用して sfir_fixed モデル内の symmetric_fir サブシステムの HDL コードを生成する際に MulticyclePathConstraints 設定を有効にできます。

  • プロパティを引数として関数 makehdl に渡す。

    makehdl('<model_name>', ... 
            'MulticyclePathConstraints','on')
  • hdlset_param を使用する場合は、モデルのパラメーターを設定してから makehdl を使用して HDL コードを生成する。

    hdlset_param('<model_name>','MulticyclePathConstraints','on')
    makehdl('<model_name>')

参考