Main Content

Complex to Real-Imag

複素数入力信号の実数部と虚数部の出力

  • Complex to Real-Imag block

ライブラリ:
Simulink / Math Operations
HDL Coder / Math Operations

説明

Complex to Real-Imag ブロックは、[出力] パラメーターの設定に応じて、入力信号の実数部や虚数部を出力します。実数出力は、複素数入力と同じデータ型です。複素数信号の配列 (ベクトルまたは行列) を入力として使用でき、その場合は出力信号も同じ次元の配列になります。実数配列は対応する複素数入力要素の実数部を含みます。同様に、虚数出力は入力要素の虚数部を含みます。

端子

入力

すべて展開する

ブロックが実数部や虚数部を計算して出力する複素入力信号。

データ型: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point

出力

すべて展開する

入力信号の実数部や虚数部である出力信号。どの端子が出力であるかを選択するには、[出力] パラメーターを設定します。

データ型: single | double | int8 | int16 | int32 | int64 | uint8 | uint16 | uint32 | uint64 | Boolean | fixed point

パラメーター

すべて展開する

出力が入力信号の実数部、虚数部、または実数部と虚数部の両方のいずれなのかを指定します。

プログラムでの使用

パラメーター: Output
型: string | 文字ベクトル
値: 'Real and imag' | 'Real' | 'Imag'
既定の設定: 'Real and imag'

サンプルの時間間隔を指定します。サンプル時間を継承するには、このパラメーターを -1 に設定します。詳細については、サンプル時間の指定を参照してください。

依存関係

このパラメーターは、-1 以外の値に設定した場合にのみ表示されます。詳細は、サンプル時間が推奨されないブロックを参照してください。

プログラムでの使用

ブロック パラメーター: SampleTime
型: string スカラーまたは文字ベクトル
既定の設定: "-1"

ブロックの特性

データ型

Boolean | double | fixed point | integer | single

直達

はい

多次元信号

はい

可変サイズの信号

はい

ゼロクロッシング検出

いいえ

拡張機能

C/C++ コード生成
Simulink® Coder™ を使用して C および C++ コードを生成します。

固定小数点の変換
Fixed-Point Designer™ を使用して固定小数点システムの設計とシミュレーションを行います。

バージョン履歴

R2006a より前に導入